交通灯控制器设计(用ise)

三, 交通灯控制器设计
要求:

  1. 控制对象为单个十字路口的南北向交通灯,具体包含一个左转箭头灯(可以显示红色,绿色)和一个圆形指示灯(可以显示红色,绿色,以及黄色)
    1. 圆形指示灯:当接收到东西向左转箭头灯的左转完成信号(外界输入)后,经过3次黄灯闪烁(一秒闪烁一次)后由原本的红灯跳转为绿灯,绿灯维持24秒,经过3次黄灯闪烁跳转回红灯,并输出一个左转允许信号;
    2. 左转箭头灯:当接收到圆形指示灯的左转允许信号后,由红色跳转为绿色,维持15秒后跳转回红色,并输出一个左转完成信号;
    3. 设置一个交通管制按钮,该按钮按下后,所有信号灯亮起红色;
    4. 需要分别完成以下两个模块的VHDL设计并分别进行仿真测试:圆灯控制器和箭头灯控制器;
    5. 按照合理逻辑将上述两个模块进行连接,必要时适当添加周边电路,完成整体的VHDL设计,再进行仿真测试
    6. 建立并编写TEST BENCH ,进行功能仿真。
      如果确为有效回答,诚信结题

由于题目中提到了使用ISE进行设计,因此我们可以使用ISE中的Schematic模块进行设计。

首先,我们需要设计圆形指示灯的控制器模块。根据题目要求,我们需要在接收到东西向左转箭头灯的左转完成信号后,经过3次黄灯闪烁后将圆形指示灯由红灯跳转为绿灯,并维持24秒,然后经过3次黄灯闪烁后跳转回红灯,并输出一个左转允许信号。

我们可以使用状态机来表示控制器的行为。状态机中包含三个状态:红灯状态、绿灯状态和黄闪烁状态。在红灯状态下,圆形指示灯为红色,当接收到左转完成信号时,控制器跳转到黄闪烁状态。在黄闪烁状态下,圆形指示灯会闪烁三次黄灯,然后跳转到绿灯状态。在绿灯状态下,圆形指示灯为绿色,维持24秒后跳转到黄闪烁状态。在黄闪烁状态下,圆形指示灯会再次闪烁三次黄灯,然后跳转回红灯状态,并输出一个左转允许信号。

下面是使用Schematic模块设计的圆形指示灯控制器模块示意图:

在图中,我们使用了一个状态机模块来控制圆形指示灯的行为。输入信号包括左转完成信号和复位信号。输出信号包括圆形指示灯的三个颜色(R、Y、G)和左转允许信号。

接下来,我们需要设计左转箭头灯的控制器模块。根据题目要求,左转箭头灯需要在接收到圆形指示灯的左转允许信号后,由红色跳转为绿色,维持15秒后跳转回红色,并输出一个左转完成信号。

同样地,我们可以使用状态机来表示控制器的行为。状态机中包含两个状态:红灯状态和绿灯状态。在红灯状态下,左转箭头灯为红色。当接收到左转允许信号时,控制器跳转到绿灯状态。在绿灯状态下,左转箭头灯为绿色,维持15秒后跳转回红灯状态,并输出一个左转完成信号。

下面是使用Schematic模块设计的左转箭头灯控制器模块示意图:

在图中,我们使用了一个状态机模块来控制左转箭头灯的行为。输入信号包括左转允许信号和复位信号。输出信号包括左转箭头灯的两个颜色(R、G)和左转完成信号。

最后,我们需要将两个控制器模块连接起来,并添加交通管制按钮的功能。当交通管制按钮按下时,所有信号灯都应该亮起红色。我们可以使用一个MUX选择器模块来实现这个功能。

下面是使用Schematic模块设计的交通灯控制器模块示意图:

在图中,我们使用了一个MUX选择器模块来选择控制圆形指示灯和左转箭头灯的输出信号。输入信号包括交通管制按钮信号、左转完成信号和左转允许信号。输出信号包括圆形指示灯的三个颜色(R、Y、G)、左转箭头灯的两个颜色(R、G)和左转完成信号。

我们可以通过编写TEST BENCH来对整个交通灯控制器模块进行功能仿真测试,以确保其符合设计要求。

下面是一个简单的TEST BENCH示例:


library ieee;
use ieee.std_logic_1164.all;

entity tb_traffic_light_controller is
end tb_traffic_light_controller;

architecture behavior of tb_traffic_light_controller is

  signal clk: std_logic := '0';
  signal rst: std_logic := '0';
  signal btn: std_logic := '0';
  signal finish: std_logic := '0';
  signal allow: std_logic := '0';
  signal r_cir: std_logic := '0';
  signal y_cir: std_logic := '0';
  signal g_cir: std_logic := '0';
  signal r_arr: std_logic := '0';
  signal g_arr: std_logic := '0';

  component traffic_light_controller is
    port (
      clk: in std_logic;
      rst: in std_logic;
      btn: in std_logic;
      finish: in std_logic;
      allow: out std_logic;
      r_cir: out std_logic;
      y_cir: out std_logic;
      g_cir: out std_logic;
      r_arr: out std_logic;
      g_arr: out std_logic
    );
  end component;

begin

  dut: traffic_light_controller
  port map (
    clk => clk,
    rst => rst,
    btn => btn,
    finish => finish,
    allow => allow,
    r_cir => r_cir,
    y_cir => y_cir,
    g_cir => g_cir,
    r_arr => r_arr,
    g_arr => g_arr
  );

  clk_process: process
  begin
    while true loop
      clk <= '0';wait for 10 ns;
      clk <= '1';
      wait for 10 ns;
    end loop;
  end process;

  reset_process: process
  begin
    rst <= '1';
    wait for 20 ns;
    rst <= '0';
    wait for 100 ns;
    rst <= '1';
    wait for 100 ns;
    rst <= '0';
    wait;
  end process;

  button_process: process
  begin
    btn <= '0';
    wait for 500 ns;
    btn <= '1';
    wait for 500 ns;
    btn <= '0';
    wait;
  end process;

end behavior;

在TEST BENCH中,我们通过 clk_process 进行时钟信号的生成,通过 reset_process 进行复位信号的生成,通过 button_process 进行交通管制按钮信号的生成。我们还定义了所有输入和输出信号,并将其连接到 DUT (Design Under Test) 实例的相应端口。

在仿真中,我们可以通过查看输出信号的波形来验证交通灯控制器的行为是否符合设计要求。

答案参考ChatGPT Plus版,整理汇总。希望能帮助你解决问题
整体设计思路:

设计一个圆灯控制器模块,实现圆形指示灯的控制逻辑。
设计一个箭头灯控制器模块,实现左转箭头灯的控制逻辑。
将两个模块连接在一起,并添加周边电路以实现整体交通灯控制逻辑。
编写测试台(Test Bench)对整体设计进行功能仿真。

设计要点:

圆灯控制器模块:

使用状态机设计来控制圆形指示灯的状态转换。
使用计数器来计时黄灯闪烁的次数。
使用外部输入信号判断左转完成信号,并触发状态转换。
输出控制信号控制圆形指示灯的颜色。
箭头灯控制器模块:

使用状态机设计来控制左转箭头灯的状态转换。
使用计数器来计时绿灯持续时间。
使用外部输入信号判断左转允许信号,并触发状态转换。
输出控制信号控制箭头灯的颜色。

整体设计:

使用信号互锁机制确保圆灯和箭头灯不会同时处于绿灯状态。
根据状态机设计连接两个控制器模块,实现整体交通灯的控制逻辑。
编写测试台(Test Bench):

对设计的整体模块进行功能仿真。
提供不同的输入情况,测试交通灯的状态转换和输出信号。
以下是一个示例的VHDL代码,展示了圆灯控制器和箭头灯控制器的设计。请注意,这只是一个简化的示例,实际的设计可能需要根据您的具体要求进行修改和扩展。

```vhdl
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity TrafficLightController is
    port (
        clk : in std_logic;                 -- 时钟输入
        reset : in std_logic;               -- 复位信号
        eastWestLeftTurnSignal : in std_logic;   -- 东西向左转完成信号
        controlButton : in std_logic;       -- 交通管制按钮
        circleLight : out std_logic_vector(1 downto 0);  -- 圆形指示灯输出
        arrowLight : out std_logic            -- 左转箭头灯输出
    );
end entity TrafficLightController;

architecture Behavioral of TrafficLightController is

    -- 圆灯控制器状态定义
    type CircleLightState is (Red, YellowBlink, Green);
    signal circleState : CircleLightState;

    -- 箭头灯控制器状态定义
    type ArrowLightState is (Red, Green);
    signal arrowState : ArrowLightState;

    -- 计数器定义
    signal blinkCounter : unsigned(3 downto 0) := (others => '0');  -- 用于计数黄灯闪烁次数
    signal greenCounter : unsigned(5 downto 0) := (others => '0');  -- 用于计数绿灯持续时间

begin

    -- 圆灯控制器逻辑
    process (clk, reset)
    begin
        if reset = '1' then
            circleState <= Red;
        elsif rising_edge(clk) then
            case circleState is
                when Red =>
                    if eastWestLeftTurnSignal = '1' then  -- 收到左转完成信号
                        blinkCounter <= (others => '0');
                        circleState <= YellowBlink;
                    end if;
                when YellowBlink =>
                    if blinkCounter = 3 then  -- 黄灯闪烁3次
                        circleState <= Green;
                    else
                        blinkCounter <= blinkCounter + 1;
                    end if;
                when Green =>
                    if greenCounter = 24 then  -- 绿灯持续24秒
                        circleState <= Red;
                    else
                        greenCounter <= greenCounter + 1;
                    end if;
            end case;
        end if;
    end process;

    -- 箭头灯控制器逻辑
    process (clk, reset)
    begin
        if reset = '1' then
            arrowState <= Red;
        elsif rising_edge(clk) then
            case arrowState is
                when Red =>
                    if eastWestLeftTurnSignal = '1' then  -- 收到左转允许信号
                        arrowState <= Green;
                    end if;
                when Green =>
                    if greenCounter = 15 then  -- 绿灯持续15秒
                        arrowState <= Red;
                    else
                        greenCounter <= greenCounter + 1;
                    end if;
            end case;
        end if;
    end process;

    -- 交通管制按钮逻辑


    process (clk, reset)
    begin
        if reset = '1' then
            -- 在复位时所有灯设置为红色
            circleLight <= "00";
            arrowLight <= '0';
        elsif rising_edge(clk) then
            if controlButton = '1' then  -- 按下交通管制按钮
                circleLight <= "00";
                arrowLight <= '1';
            else
                -- 根据控制器状态输出灯的颜色
                case circleState is
                    when Red =>
                        circleLight <= "10";
                    when YellowBlink =>
                        circleLight <= "01";
                    when Green =>
                        circleLight <= "00";
                end case;
                
                case arrowState is
                    when Red =>
                        arrowLight <= '0';
                    when Green =>
                        arrowLight <= '1';
                end case;
            end if;
        end if;
    end process;

end architecture Behavioral;

以上是一个简单的交通灯控制器的VHDL代码示例。根据具体的需求,您可能需要对其进行进一步的修改和调整。还请注意,在设计中还需要根据具体的时钟频率和信号时间来确定计数器的初值和比较值,以确保交通灯的时间控制符合要求。

此外,还需要为该设计编写相应的测试台(Test Bench)来进行功能仿真。在测试台中,您可以模拟时钟、复位信号、输入信号和观察输出信号,以验证设计的正确性和功能。

请注意,以上代码仅为示例,可能需要根据您的具体要求进行修改和适应。

```