有没有解复用的IP核,将数据流分成多通道的数据流

img

img

AD7606输出端口是16位的数据,8通道串行输出,我想只选取通道一的数据进行FFT运算,应该加什么IP核能完成将数据流解复用啊!(哭了)

以下答案由GPT-3.5大模型与博主波罗歌共同编写:
您可以使用Xilinx公司提供的IP核"AXI-Stream Demux"来解复用数据流。

AXI-Stream Demux IP核可以将单个数据流划分为多个通道,每个通道都被连接到独立的输出流。

下面是使用Vivado IP Integrator创建AXI-Stream Demux IP核的步骤:

  1. 打开Vivado设计工具并创建一个新的工程

  2. 在IP Integrator中添加AXI-Stream Demux IP核

  3. 配置AXI-Stream Demux IP核,指定输入和输出数据宽度、通道数等参数。

  4. 使用该IP核解复用您的数据流。

下面是一个简单的示例设计:

module demux_example (
    input                 clock,
    input                 resetn,
    input  [15:0]         data_in,
    output [7:0][15:0]    data_out
);

reg [1:0] channel = 0;
wire [1:0] next_channel;
wire [7:0] channel_select;

assign channel_select = 8'b00000001 << channel;

bram_fifo #(
    .data_width(16),
    .depth(64),
    .af_threshold(56),
    .out_width(16),
    .bram_pn_override("WRITE_FIRST"),
    .write_threshold(16)
) fifo (
    .clk(clock),
    .reset(!resetn),
    .din(data_in),
    .wr_en(channel_select),
    .rd_en(1'b0),
    .overflow(),
    .underflow(),
    .af(),
    .q(data_out[channel])
);

assign next_channel = channel + 1;
assign channel = (next_channel == 8) ? 0 : next_channel;

endmodule

该设计使用BRAM内存作为FIFO缓冲区,用于在输出端口之前缓存输入数据,并基于通道选择信号将数据路由到正确的输出通道。
如果我的回答解决了您的问题,请采纳!

不知道你这个问题是否已经解决, 如果还没有解决的话:
  • 以下回答来自chatgpt:

    感谢您的问题。针对您的需求,我可以提供以下建议:

    1. 首先可以查看Vivado IP库中是否有现成的可用IP核,用于将AD7606的16位数据流分成多个通道的数据流。可以使用Vivado的IP Catalog工具搜索特定类型的IP核。如果没有现成的IP核适用,我们需要考虑自定义IP核。

    2. 若要自定义IP核,请参照Vivado开发者指南中的相关章节。需要注意的是,IP核最好包含synthesis和simulation的文件和testbench,以保证可编译性和可重现性。

    3. 对于此需求(仅使用通道1的数据进行FFT运算),可以设置输入信号的位宽为16位,然后输出仅包含通道1的16位数据流。

    4. 在IP核设计中,需要使用分频器(分频比为2)来将输入数据分为两半,然后通过选择器挑选需要的通道 ,保留通道1的数据。通道1数据流将被直接连接到FFT IP核,进行FFT计算。选择所有通道数据的信息将会直接舍弃,防止它们浪费在计算中。

    以下是可能的VHDL代码示例:

    entity adc_ip_core is port ( adc_data : in std_logic_vector(15 downto 0); reset : in std_logic; clk : in std_logic; channel1 : out std_logic_vector(15 downto 0) ); end adc_ip_core;

    architecture Behavioral of adc_ip_core is signal adc_data_1 : std_logic_vector(7 downto 0); signal adc_data_2 : std_logic_vector(7 downto 0); begin process(clk) begin if rising_edge(clk) then if reset = '1' then adc_data_1 <= (others => '0'); adc_data_2 <= (others => '0'); else adc_data_1 <= adc_data(7 downto 0); adc_data_2 <= adc_data(15 downto 8); end if; end if; end process;

    channel1 <= adc_data_1 when channel_select = 1 else (others => '0');

    end Behavioral;

    其中channel_select可以通过直接连接到外部控制线或提供一个内部的计数器或寄存器来实现。

    1. 最后,将FFT IP核插入IP核设计并完成连接即可。

    希望这些建议能够帮助到您!


如果你已经解决了该问题, 非常希望你能够分享一下解决方案, 写成博客, 将相关链接放在评论区, 以帮助更多的人 ^-^